數(shù)字電子時(shí)鐘實(shí)驗(yàn)心得

| 小揚(yáng)21147

數(shù)字時(shí)鐘,就是以數(shù)字顯示取代模擬表盤的鐘表,在顯示上它用數(shù)字反應(yīng)此時(shí)的時(shí)間,它還能同時(shí)顯示時(shí),分,秒,且能夠?qū)r(shí),分,秒準(zhǔn)確進(jìn)行校時(shí)。下面是小編帶來(lái)的有關(guān)數(shù)字電子時(shí)鐘實(shí)驗(yàn)心得,希望大家喜歡

數(shù)字電子時(shí)鐘實(shí)驗(yàn)心得1

基于AVR單片機(jī)Mega16的電子時(shí)鐘設(shè)計(jì)摘要】 Mega16是一款采用先進(jìn)RISC精簡(jiǎn)指令,內(nèi)置A/D的8位單片機(jī),可支持低電壓聯(lián)機(jī)Flash和EEPROM寫入功能;同時(shí)還支持Basic和C等高級(jí)語(yǔ)言編程。

用它設(shè)計(jì)電子時(shí)鐘不僅成本低,硬件簡(jiǎn)單,。

基于AVR單片機(jī)Mega16的電子時(shí)鐘設(shè)計(jì)

摘要】 Mega16是一款采用先進(jìn)RISC精簡(jiǎn)指令,內(nèi)置A/D的8位單片機(jī),可支持低電壓聯(lián)機(jī)Flash和EEPROM寫入功能;同時(shí)還支持Basic和C等高級(jí)語(yǔ)言編程。

用它設(shè)計(jì)電子時(shí)鐘不僅成本低,硬件簡(jiǎn)單,而且很容易實(shí)現(xiàn)系統(tǒng)移植。

介紹了如何利用AVR系列單片機(jī)Mega16及1602字符液晶來(lái)設(shè)計(jì)電子時(shí)鐘的方法,同時(shí)給出了相應(yīng)的電路原理及部分語(yǔ)言程序。

數(shù)字電路課程設(shè)計(jì)的心得體會(huì)

為什么沒人啊?都在忙本科教育評(píng)估去了。

最核心的是時(shí)序邏輯電路的設(shè)計(jì),要培養(yǎng)出良好的空間想象能力。

高性能的數(shù)字信號(hào)處理芯片,不用標(biāo)準(zhǔn)單片機(jī)和標(biāo)準(zhǔn)嵌入系統(tǒng),那速度慢,要繳納知識(shí)產(chǎn)權(quán)許可費(fèi)用,發(fā)達(dá)國(guó)家都是專門有針對(duì)性設(shè)計(jì)的時(shí)序邏輯電路的獨(dú)立設(shè)計(jì)。

例如上個(gè)世紀(jì)80年代的蘋果牌個(gè)人計(jì)算機(jī),就是用許多通用中小規(guī)模數(shù)字集成電路搭建的時(shí)序邏輯電路,國(guó)內(nèi)以此仿照了中華學(xué)習(xí)機(jī)。

現(xiàn)在的CPU設(shè)計(jì)復(fù)雜,時(shí)序邏輯電路都集成在芯片里面,集成度高,要靠高等院校的教材和實(shí)驗(yàn)課程,實(shí)在沒法設(shè)計(jì)出低端的CPU。

所以一般都是購(gòu)買國(guó)外集成電路系統(tǒng)的構(gòu)架,以此為基礎(chǔ)設(shè)計(jì),這就有知識(shí)產(chǎn)權(quán)的費(fèi)用,到了流片的時(shí)候,人家要統(tǒng)計(jì)你的生產(chǎn)數(shù)量,要收費(fèi)的。

這就是基礎(chǔ)教育關(guān)系的國(guó)家安全的一個(gè)例子。

電子時(shí)鐘課程設(shè)計(jì)報(bào)告

我們剛剛做完的課程設(shè)計(jì)。

給你啦~~ 數(shù)字鐘設(shè)計(jì)報(bào)告 設(shè)計(jì)者: 20062073 20062046 目錄 1 設(shè)計(jì)目的 3 2 設(shè)計(jì)要求指標(biāo) 3 2。

1 基本功能 3 2。

2 擴(kuò)展功能 4 3。

方案論證與比較 4 4 總體框圖設(shè)計(jì) 4 5 電路原理分析 4 5。

1數(shù)字鐘的構(gòu)成 4 5。

1。

1 分頻器電路 5 5。

1。

2 時(shí)間計(jì)數(shù)器電路 5 5。

1。

3分頻器電路 6 5。

1。

4振蕩器電路 6 5。

1。

5數(shù)字時(shí)鐘的計(jì)數(shù)顯示電路 6 5。

2 校時(shí)電路 7 5。

3 整點(diǎn)報(bào)時(shí)電路 8 6系統(tǒng)仿真與調(diào)試 8 7。

結(jié)論 8 參考文獻(xiàn) 9 實(shí)驗(yàn)作品附圖 10 數(shù)字鐘 摘要: 數(shù)字鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比具有更高的準(zhǔn)確性和直觀性,且無(wú)機(jī)械裝置,具有更更長(zhǎng)的使用壽命,因此得到了廣泛的使用。

數(shù)字鐘從原理上講是一種典型的數(shù)字電路,其中包括了組合邏輯電路和時(shí)序電路。

目前,數(shù)字鐘的功能越來(lái)越強(qiáng),并且有多種專門的大規(guī)模集成電路可供選擇。

從有利于學(xué)習(xí)的角度考慮,這里主要介紹以中小規(guī)模集成電路設(shè)計(jì)數(shù)字鐘的方法。

經(jīng)過(guò)了數(shù)字電路設(shè)計(jì)這門課程的系統(tǒng)學(xué)習(xí),特別經(jīng)過(guò)了關(guān)于組合邏輯電路與時(shí)序邏輯電路部分的學(xué)習(xí),我們已經(jīng)具備了設(shè)計(jì)小規(guī)模集成電路的能力,借由本次設(shè)計(jì)的機(jī)會(huì),充分將所學(xué)的知識(shí)運(yùn)用到實(shí)際中去。

本次課程設(shè)計(jì)要求設(shè)計(jì)一個(gè)數(shù)字鐘,基本要求為數(shù)字鐘的時(shí)間周期為24小時(shí),數(shù)字鐘顯示時(shí)、分、秒,數(shù)字鐘的時(shí)間基準(zhǔn)一秒對(duì)應(yīng)現(xiàn)實(shí)生活中的時(shí)鐘的一秒。

供擴(kuò)展的方面涉及到定時(shí)自動(dòng)報(bào)警、按時(shí)自動(dòng)打鈴、定時(shí)廣播、定時(shí)啟閉路燈等。

因此,研究數(shù)字鐘及擴(kuò)大其應(yīng)用,有著非常現(xiàn)實(shí)的意義。

1 設(shè)計(jì)目的 1。

掌握數(shù)字鐘的設(shè)計(jì)、組裝與調(diào)試方法。

2。

熟悉集成元器件的選擇和集成電路芯片的邏輯功能及使用方法。

3。

掌握面包板結(jié)構(gòu)及其接線方法 4。

熟悉仿真軟件的使用。

2 設(shè)計(jì)要求及指標(biāo) 2。

1基本功能 1)時(shí)鐘顯示功能,能夠正確顯示“時(shí)”、“分”、“秒”。

2)具有快速校準(zhǔn)時(shí)、分、秒的功能。

3)用555定時(shí)器與RC組成的多諧振蕩器產(chǎn)生一個(gè)標(biāo)準(zhǔn)頻率(1Hz)的方波脈沖信號(hào)。

2。

2擴(kuò)展功能 1)用晶體振蕩器產(chǎn)生一個(gè)標(biāo)準(zhǔn)頻率(1Hz)的脈沖信號(hào)。

2)具有整點(diǎn)報(bào)時(shí)的功能。

3)具有鬧鐘的功能。

4)…… 3、方案論證與比較 本設(shè)計(jì)方案使用555多諧振蕩器來(lái)產(chǎn)生1HZ的信號(hào)。

通過(guò)改變相應(yīng)的電阻電容值可使頻率微調(diào),不必使用分頻器來(lái)對(duì)高頻信號(hào)進(jìn)行分頻使電路繁復(fù)。

雖然此振蕩器沒有石英晶體穩(wěn)定度和精確性高,由于設(shè)計(jì)方便,操作簡(jiǎn)單,成為了設(shè)計(jì)時(shí)的首選,但是由于與實(shí)驗(yàn)中使用的555芯片產(chǎn)生的脈沖相比較,利用晶振產(chǎn)生的脈沖信號(hào)更加的穩(wěn)定,同過(guò)電壓表的測(cè)量能很好的觀察到這一點(diǎn),同時(shí)在顯示上能夠更加接進(jìn)預(yù)定的值,受外界環(huán)境的干擾較少,一定程度上優(yōu)于使用555芯片產(chǎn)生信號(hào)方式。

我們組依然同時(shí)設(shè)計(jì)了555和晶振兩個(gè)信號(hào)產(chǎn)生電路。

(本實(shí)驗(yàn)報(bào)告中著重按照原方案設(shè)計(jì)的555電路進(jìn)行說(shuō)明) 4、 系統(tǒng)設(shè)計(jì)框圖 數(shù)字式計(jì)時(shí)器一般由振蕩器、分頻器、計(jì)數(shù)器、譯碼器、顯示器等幾部分組成。

在本設(shè)計(jì)中555振蕩器及其相應(yīng)外部電路組成標(biāo)準(zhǔn)秒信號(hào)發(fā)生器,由不同進(jìn)制的計(jì)數(shù)器、譯碼器和顯示器組成計(jì)時(shí)系統(tǒng)。

秒信號(hào)送入計(jì)數(shù)器進(jìn)行計(jì)數(shù),把累計(jì)的結(jié)果以'時(shí)'、'分'、'秒'的數(shù)字顯示出來(lái)。

'時(shí)'顯示由二十四進(jìn)制計(jì)數(shù)器、譯碼器、顯示器構(gòu)成,'分'、'秒'顯示分別由六十進(jìn)制計(jì)數(shù)器、譯碼器、顯示器構(gòu)成。

其原理框圖如圖1。

1所示。

5、電路原理分析 5。

1數(shù)字鐘的構(gòu)成 數(shù)字鐘實(shí)際上是一個(gè)對(duì)標(biāo)準(zhǔn)頻率(1HZ)進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。

由于計(jì)數(shù)的起始時(shí)間不可能與標(biāo)準(zhǔn)時(shí)間一致,故需要在電路上加一個(gè)校時(shí)電路,同時(shí)標(biāo)準(zhǔn)的1HZ時(shí)間信號(hào)必須做到準(zhǔn)確穩(wěn)定。

在此使用555振蕩器組成1Hz的信號(hào)。

數(shù)字鐘原理框圖(1。

1) 5。

1。

1振蕩器電路 555定時(shí)器組成的振蕩器電路給數(shù)字鐘提供一個(gè)頻率為1Hz的方波信號(hào)。

其中OUT為輸出。

5。

1。

2時(shí)間計(jì)數(shù)器電路 時(shí)間計(jì)數(shù)電路由秒個(gè)位和秒十位計(jì)數(shù)器,分個(gè)位和分十位計(jì)數(shù)器及時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器電路構(gòu)成,其中秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器為60進(jìn)制計(jì)數(shù)器,而根據(jù)設(shè)計(jì)要求,時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器為24進(jìn)制計(jì)數(shù)器。

5。

1。

3分頻器電路 通常,數(shù)字鐘的晶體振蕩器輸出頻率較高,為了得到1Hz的秒信號(hào)輸入,需要對(duì)振蕩器的輸出信號(hào)進(jìn)行分頻。

通常實(shí)現(xiàn)分頻器的電路是計(jì)數(shù)器電路,一般采用多級(jí)2進(jìn)制計(jì)數(shù)器來(lái)實(shí)現(xiàn)。

例如,將32768Hz的振蕩信號(hào)分頻為1HZ的分頻倍數(shù)為32768( ),即實(shí)現(xiàn)該分頻功能的計(jì)數(shù)器相當(dāng)于15級(jí)2進(jìn)制計(jì)數(shù)器。

5。

1。

4振蕩器電路 利用555定時(shí)器組成的多諧振蕩器接通電源后,電容C1被充電,當(dāng)電壓上升到一定數(shù)值時(shí)里面集成的三極管導(dǎo)通,然后通過(guò)電阻和三極管放電,不斷的充放電從而產(chǎn)生一定周期的脈沖,通過(guò)改變電路上器件的值可以微調(diào)脈沖周期。

5。

1。

5數(shù)字時(shí)鐘的計(jì)數(shù)顯示控制 在設(shè)計(jì)中,我們使用的是74__160十進(jìn)制計(jì)數(shù)器,來(lái)實(shí)現(xiàn)計(jì)數(shù)的功能,實(shí)驗(yàn)中主要用到了160的置數(shù)清零功能(特點(diǎn):消耗一個(gè)時(shí)鐘脈沖),清零功能(特點(diǎn):不耗時(shí)鐘脈沖),在上級(jí)160控制下級(jí)160時(shí)候通過(guò)組合電路(主要利用與非門)實(shí)現(xiàn),在連接電路的時(shí)候要注意并且強(qiáng)調(diào)使能端的連接,其將影響到。

基于單片機(jī)的電子時(shí)鐘的設(shè)計(jì)與制作(C語(yǔ)言) 要求:采用萬(wàn)年歷芯片進(jìn)行設(shè)計(jì)

采用萬(wàn)年歷芯片,其實(shí)可以用時(shí)鐘芯片DS1302。

顯示用什么,是數(shù)碼管,還是LCD1602?設(shè)計(jì)與制作,是要做出實(shí)物嗎?要是仿真,給你一個(gè) 仿真圖,可以做參考。

數(shù)字電子時(shí)鐘實(shí)驗(yàn)心得2

數(shù)字電子鐘的邏輯框圖如圖3-4所示。它由555集成芯片構(gòu)成的振蕩電路、分頻器、計(jì)數(shù)器、顯示器和校時(shí)電路組成。555集成芯片構(gòu)成的振蕩電路產(chǎn)生的信號(hào)經(jīng)過(guò)分頻器作為秒脈沖,秒脈沖送入計(jì)數(shù)器,計(jì)數(shù)結(jié)果通過(guò)“時(shí)”、“分”、“秒”譯碼器顯示時(shí)間。

1. 振蕩器

石英晶體振蕩器的特點(diǎn)是振蕩頻率準(zhǔn)確、電路結(jié)構(gòu)簡(jiǎn)單、頻率易調(diào)整。它還具有壓電效應(yīng),在晶體某一方向加一電場(chǎng),則在與此垂直的方向產(chǎn)生機(jī)械振動(dòng),有了機(jī)械振動(dòng),就會(huì)在相應(yīng)的垂直面上產(chǎn)生電場(chǎng),從而機(jī)械振動(dòng)和電場(chǎng)互為因果,這種循環(huán)過(guò)程一直持續(xù)到晶體的機(jī)械強(qiáng)度限止時(shí),才達(dá)到最后穩(wěn)定。這用壓電諧振的頻率即為晶體振蕩器的固有頻率。

一般來(lái)說(shuō),般來(lái)說(shuō),振蕩器的頻率越高,計(jì)時(shí)精度越高,但耗電量將增大。如果精度要求不高也可以采用由集成電路定時(shí)器555與RC組成的多諧振蕩器。如圖3-4-1所示。設(shè)振蕩頻率f=1KHz,R為可調(diào)電阻,微調(diào)R1可以調(diào)出1KHz輸出。

2. 分頻器

由于振蕩器產(chǎn)生的頻率很高,要得到秒脈沖,需要分屏電路。本實(shí)驗(yàn)由集成電路定時(shí)器555與RC組成的多諧振蕩器,產(chǎn)生1KHz的脈沖信號(hào)。故采用3片中規(guī)模集成電路計(jì)數(shù)器74LS90來(lái)實(shí)現(xiàn),得到需要的秒脈沖信號(hào)。

3. 計(jì)數(shù)器

秒脈沖信號(hào)經(jīng)過(guò)6級(jí)計(jì)數(shù)器,分別得到“秒”個(gè)位、十位、“分”個(gè)位、十位以及“時(shí)”個(gè)位、十位的計(jì)時(shí)?!懊搿薄胺帧庇?jì)數(shù)器為六十進(jìn)制,小時(shí)為十二進(jìn)制。

(1)六十進(jìn)制計(jì)數(shù)

由分頻器來(lái)的秒脈沖信號(hào),首先送到“秒”計(jì)數(shù)器進(jìn)行累加計(jì)數(shù),秒計(jì)數(shù)器應(yīng)完成一分鐘之內(nèi)秒數(shù)目的累加,并達(dá)到60秒時(shí)產(chǎn)生一個(gè)進(jìn)位信號(hào),所以,選用一片74LS90和一片74LS92組成六十進(jìn)制計(jì)數(shù)器,采用反饋歸零的方法來(lái)實(shí)現(xiàn)六十進(jìn)制計(jì)數(shù)。其中,“秒”十位是六進(jìn)制,“秒”個(gè)位是十進(jìn)制。如圖3-4-3-1所示。

(2)十二四進(jìn)制計(jì)數(shù)

“12翻1”小時(shí)計(jì)數(shù)器是按照“01——02——03——……——11——12——01——02——……”規(guī)律計(jì)數(shù)的,這與日常生活中的計(jì)時(shí)規(guī)律相同。在此實(shí)驗(yàn)中,小時(shí)的個(gè)位計(jì)數(shù)器由4位二進(jìn)制同步可逆計(jì)數(shù)器74LS191構(gòu)成,十位計(jì)數(shù)器由D觸發(fā)器74LS74構(gòu)成,將它們級(jí)連組成“12翻1”小時(shí)計(jì)數(shù)器。

計(jì)數(shù)器的狀態(tài)要發(fā)生兩次跳躍:一是計(jì)數(shù)器計(jì)到9,即個(gè)位計(jì)數(shù)器的狀態(tài)為Q03Q02Q01Q00=1001,在下一脈沖作用下計(jì)數(shù)器進(jìn)入暫態(tài)1010,利用暫態(tài)的兩個(gè)1即Q03Q01使個(gè)位異步置0,同時(shí)向十位計(jì)數(shù)器進(jìn)位使Q10=1;二是計(jì)數(shù)器計(jì)到12后,在第13個(gè)脈沖作用下個(gè)位計(jì)數(shù)器的狀態(tài)應(yīng)為Q03Q02Q01Q00=0001,十位計(jì)數(shù)器的Q10=0。第二次跳躍的十位清0和個(gè)位置1信號(hào)可由暫態(tài)為1的輸出端Q10,Q01,Q00來(lái)產(chǎn)生。

圖3-4-3-2 M12計(jì)數(shù)器功能表

4. 譯碼器

譯碼是指把給定的代碼進(jìn)行翻譯的過(guò)程。計(jì)數(shù)器采用的碼制不同,譯碼電路也不同。74LS48驅(qū)動(dòng)器是與8421BCD編碼計(jì)數(shù)器配合用的七段譯碼驅(qū)動(dòng)器。74LS48配有燈測(cè)試LT、動(dòng)態(tài)滅燈輸入RBI,滅燈輸入/動(dòng)態(tài)滅燈輸出BI/RBO,當(dāng)LT=0時(shí),74LS48出去全1。

5. 顯示器

本系統(tǒng)用七段發(fā)光二極管來(lái)顯示譯碼器輸出的數(shù)字,顯示器有兩種:共陽(yáng)極顯示器或共陰極顯示器。74LS48譯碼器對(duì)應(yīng)的顯示器是共陰極顯示器。

6. 校時(shí)電路

當(dāng)數(shù)字鐘走時(shí)出現(xiàn)誤差時(shí),需要校正時(shí)間。校時(shí)電路實(shí)現(xiàn)對(duì)“時(shí)”“分”“秒”的校準(zhǔn)。在電路中設(shè)有正常計(jì)時(shí)和校對(duì)位置。本實(shí)驗(yàn)實(shí)現(xiàn)“時(shí)”“分”的校對(duì)。

對(duì)校時(shí)的要求是,在小時(shí)校正時(shí)不影響分和秒的正常計(jì)數(shù);在分校正時(shí)不影響秒和小時(shí)的正常計(jì)數(shù)。需要注意的時(shí),校時(shí)電路是由與非門構(gòu)成的組合邏輯電路,開關(guān)S1或S2為“0”或“1”時(shí),可能會(huì)產(chǎn)生抖動(dòng),為防止這一情況的發(fā)生我們接入一個(gè)由RS觸發(fā)器組成的防抖動(dòng)電路來(lái)控制。

圖3-4-6-1 校時(shí)開關(guān)的功能表

3.5 實(shí)驗(yàn)主體電路的裝調(diào)

·由圖3-4所示的數(shù)字中系統(tǒng)組成框圖按照信號(hào)的流向分級(jí)安裝,逐級(jí)級(jí)聯(lián)。這里的每一級(jí)是指組成數(shù)字中的各個(gè)功能電路。

·級(jí)聯(lián)時(shí)如果出現(xiàn)時(shí)序配合不同步,或劍鋒脈沖干擾,引起的邏輯混亂,可以增加多級(jí)邏輯門來(lái)延時(shí)。如果顯示字符變化很快,模糊不清,可能是由于電源電流的跳變引起的,可在集成電路器件的電源端Vcc加退藕濾波電容。通常用幾十微法的大電容與0.01μF的小電容相并聯(lián)。

·畫數(shù)字鐘的主體邏輯電路圖。 如圖3-5

圖3-5 數(shù)字鐘的主體電路邏輯圖

3.6 功能擴(kuò)展電路

(1)定時(shí)控制電路

數(shù)字鐘在指定的時(shí)刻發(fā)出信號(hào),或驅(qū)動(dòng)音響電路“鬧時(shí)”,或?qū)δ逞b置的電源進(jìn)行接通或斷開“控制”。不管是鬧時(shí)還是控制,都要求時(shí)間準(zhǔn)確,即信號(hào)的開始時(shí)刻與持續(xù)時(shí)間必須滿足規(guī)定的要求。

例如要求上午7時(shí)59分發(fā)出鬧時(shí)信號(hào),持續(xù)時(shí)間為1分鐘。本實(shí)驗(yàn)設(shè)計(jì)為7時(shí)59分時(shí),音響電路的晶體管導(dǎo)通,則揚(yáng)聲器發(fā)出1KHz的聲音。持續(xù)1分鐘到8點(diǎn)整晶體管因輸入端為“0”而截止,電路停鬧。

圖3-6 鬧時(shí)電路

(2)仿廣播電臺(tái)整點(diǎn)報(bào)時(shí)電路

仿廣播電臺(tái)整點(diǎn)報(bào)時(shí)電路的功能要求是,每當(dāng)數(shù)字鐘計(jì)時(shí)快要到整點(diǎn)時(shí)發(fā)出聲響,通常按照4低音1高音的順序發(fā)出間斷聲響,以最后一聲高音結(jié)束的時(shí)刻為整點(diǎn)時(shí)刻。

設(shè)4聲低音(約500Hz)分別發(fā)生在59分51秒、53秒、55秒及57秒,最后一聲高音(約1KHz)發(fā)生在59分59秒,它們的持續(xù)時(shí)間均為1秒。

圖3.7 整個(gè)電路的組裝及調(diào)試

和擴(kuò)展電路檢查均無(wú)連線錯(cuò)誤并且顯示正常后,將兩個(gè)電路連為一個(gè)整體,接上+5V電源。觀察時(shí)鐘是否顯示正常;是否在上午7時(shí)59分發(fā)出鬧時(shí)信號(hào),持續(xù)時(shí)間一分鐘;是否有四聲低音分別發(fā)生在59分51秒、53秒、55秒及57秒,最后一聲高音法正在59分59秒,它們持續(xù)時(shí)間均為1秒。若不正常則檢查電路各個(gè)部分,直到得到滿意的結(jié)果。我們共經(jīng)過(guò)兩天的調(diào)試,圓滿完成了這次為期兩周的課程設(shè)計(jì)。

四.實(shí)驗(yàn)總結(jié)

短短的兩周課程設(shè)計(jì)結(jié)束了。看著自己設(shè)計(jì)、連線、調(diào)試成功的數(shù)字電子鐘,很有成就感。真的很有收獲,體會(huì)到了什么是學(xué)以致用,理論與實(shí)踐的差別到底有多大。以前上課都是上一些最基本的東西而現(xiàn)在卻可以將以前學(xué)的東西做出有實(shí)際價(jià)值的東西。在這個(gè)過(guò)程中,我的確學(xué)得到很多在書本上學(xué)不到的東西,如:怎么設(shè)計(jì)一個(gè)六十、十二進(jìn)制計(jì)數(shù)器,如何實(shí)現(xiàn)校時(shí)的防抖動(dòng)等等。但也遇到了不少的挫折,有時(shí)遇到了一個(gè)錯(cuò)誤怎么找也找不到原因所在,找了老半天結(jié)果卻是接頭的方向接錯(cuò)了,有時(shí)更是忘接地了。在學(xué)習(xí)中的小問題在課堂上不可能犯,在動(dòng)手的過(guò)程中卻很有可能犯。特別是在接電路時(shí),一不小心就會(huì)犯錯(cuò),而且很不容易檢查出來(lái)。在調(diào)試主板電路時(shí),十位不進(jìn)位,檢查電路,以為沒有什么問

題,后來(lái)一步一步的檢查,發(fā)現(xiàn)總的地線沒接,接上總的地線,一切正常。副版是我的同組劉玉龍連接的電路,在主板和副版連接起來(lái)后,新的問題又出現(xiàn)了。第一,計(jì)數(shù)太快了,正常一秒,我們?cè)O(shè)計(jì)的數(shù)字電子表卻可以走兩三秒,顯然輸入不是1Hz的脈沖信號(hào);第二,我們的校時(shí)電路連接正確,可是每次校時(shí),開關(guān)S1或S2為“0”或“1”時(shí),會(huì)產(chǎn)生抖動(dòng),無(wú)法正常校時(shí)。針對(duì)這兩個(gè)問題,我們進(jìn)行了分析,進(jìn)而轉(zhuǎn)化為實(shí)際的操作。我們?cè)?5V電壓和地線之間分別加了兩個(gè)電容,通過(guò)濾波,選擇我們需要的1Hz脈沖信號(hào)。對(duì)于無(wú)法正常校時(shí)的問題,在設(shè)計(jì)中接入一個(gè)由RS觸發(fā)器組成的防抖動(dòng)電路來(lái)控制校時(shí)。把時(shí)間調(diào)到上午7點(diǎn)58分,等7點(diǎn)59分準(zhǔn)確鬧鐘響起,持續(xù)一分鐘。再將時(shí)間跳到58分,等59分51秒、53秒、55秒及57秒都發(fā)出4聲低音,最后一聲高音發(fā)生在59分59秒。,持續(xù)時(shí)間都是一秒鐘。數(shù)字電子鐘已經(jīng)成功完成了。

我的動(dòng)手能力又有了進(jìn)一步的提高,我感到十分的高興。同時(shí)學(xué)到了課本上沒有的東西,也鍛煉了自己獨(dú)立解決問題的能力。這在以后的學(xué)習(xí)和生活中會(huì)有很大的用處。但是我還有不足,按照電路連接實(shí)物時(shí),器件的擺放不夠科學(xué),最終導(dǎo)致了,只有自己能看懂電路的走向。不過(guò)我會(huì)在以后的學(xué)習(xí)中逐步提高,做一個(gè)動(dòng)手能力強(qiáng)的大學(xué)生。

十分感謝自動(dòng)化系提供這么好的機(jī)會(huì),讓我們把學(xué)到的知識(shí)應(yīng)用到實(shí)踐中,同時(shí)謝謝老師的耐心指導(dǎo)。

數(shù)字電子時(shí)鐘實(shí)驗(yàn)心得3

數(shù)字電子鐘的設(shè)計(jì)(由數(shù)字IC構(gòu)成)一、設(shè)計(jì)目的1。

熟悉集成電路的引腳安排。

2。

掌握各芯片的邏輯功能及使用方法。

3。

了解面包板結(jié)構(gòu)及其接線方法。

4。

了解數(shù)字鐘的組成及工作原理。

5。

熟悉數(shù)字鐘的設(shè)計(jì)與制作。

二、設(shè)計(jì)要求1。

設(shè)計(jì)指標(biāo)時(shí)。

數(shù)字電子鐘的設(shè)計(jì)(由數(shù)字IC構(gòu)成)一、設(shè)計(jì)目的1。

熟悉集成電路的引腳安排。

2。

掌握各芯片的邏輯功能及使用方法。

3。

了解面包板結(jié)構(gòu)及其接線方法。

4。

了解數(shù)字鐘的組成及工作原理。

5。

熟悉數(shù)字鐘的設(shè)計(jì)與制作。

二、設(shè)計(jì)要求1。

設(shè)計(jì)指標(biāo)時(shí)間以24小時(shí)為一個(gè)周期;顯示時(shí)、分、秒;有校時(shí)功能,可以分別對(duì)時(shí)及分進(jìn)行單獨(dú)校時(shí),使其校正到標(biāo)準(zhǔn)時(shí)間;計(jì)時(shí)過(guò)程具有報(bào)時(shí)功能,當(dāng)時(shí)間到達(dá)整點(diǎn)前5秒進(jìn)行蜂鳴報(bào)時(shí);為了保證計(jì)時(shí)的穩(wěn)定及準(zhǔn)確須由晶體振蕩器提供表針時(shí)間基準(zhǔn)信號(hào)。

2。

設(shè)計(jì)要求畫出電路原理圖(或仿真電路圖);元器件及參數(shù)選擇;電路仿真與調(diào)試;PCB文件生成與打印輸出。

3。

制作要求 自行裝配和調(diào)試,并能發(fā)現(xiàn)問題和解決問題。

4。

編寫設(shè)計(jì)報(bào)告 寫出設(shè)計(jì)與制作的全過(guò)程,附上有關(guān)資料和圖紙,有心得體會(huì)。

三、設(shè)計(jì)原理及其框圖1。

數(shù)字鐘的構(gòu)成 數(shù)字鐘實(shí)際上是一個(gè)對(duì)標(biāo)準(zhǔn)頻率(1HZ)進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。

由于計(jì)數(shù)的起始時(shí)間不可能與標(biāo)準(zhǔn)時(shí)間(如北京時(shí)間)一致,故需要在電路上加一個(gè)校時(shí)電路,同時(shí)標(biāo)準(zhǔn)的1HZ時(shí)間信號(hào)必須做到準(zhǔn)確穩(wěn)定。

通常使用石英晶體振蕩器電路構(gòu)成數(shù)字鐘。

圖 3-1所示為數(shù)字鐘的一般構(gòu)成框圖。

圖3-1 數(shù)字鐘的組成框圖 ⑴晶體振蕩器電路 晶體振蕩器電路給數(shù)字鐘提供一個(gè)頻率穩(wěn)定準(zhǔn)確的32768Hz的方波信號(hào),可保證數(shù)字鐘的走時(shí)準(zhǔn)確及穩(wěn)定。

不管是指針式的電子鐘還是數(shù)字顯示的電子鐘都使用了晶體振蕩器電路。

⑵分頻器電路 分頻器電路將32768Hz的高頻方波信號(hào)經(jīng)32768( )次分頻后得到1Hz的方波信號(hào)供秒計(jì)數(shù)器進(jìn)行計(jì)數(shù)。

分頻器實(shí)際上也就是計(jì)數(shù)器。

⑶時(shí)間計(jì)數(shù)器電路 時(shí)間計(jì)數(shù)電路由秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器及時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器電路構(gòu)成,其中秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器為60進(jìn)制計(jì)數(shù)器,而根據(jù)設(shè)計(jì)要求,時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器為12進(jìn)制計(jì)數(shù)器。

⑷譯碼驅(qū)動(dòng)電路 譯碼驅(qū)動(dòng)電路將計(jì)數(shù)器輸出的8421BCD碼轉(zhuǎn)換為數(shù)碼管需要的邏輯狀態(tài),并且為保證數(shù)碼管正常工作提供足夠的工作電流。

⑸數(shù)碼管 數(shù)碼管通常有發(fā)光二極管(LED)數(shù)碼管和液晶(LCD)數(shù)碼管,本設(shè)計(jì)提供的為L(zhǎng)ED數(shù)碼管。

2。

數(shù)字鐘的工作原理 1)晶體振蕩器電路 晶體振蕩器是構(gòu)成數(shù)字式時(shí)鐘的核心,它保證了時(shí)鐘的走時(shí)準(zhǔn)確及穩(wěn)定。

圖3-2所示電路通過(guò)CMOS非門構(gòu)成的輸出為方波的數(shù)字式晶體振蕩電路,這個(gè)電路中,CMOS非門U1與晶體、電容和電阻構(gòu)成晶體振蕩器電路,U2實(shí)現(xiàn)整形功能,將振蕩器輸出的近似于正弦波的波形轉(zhuǎn)換為較理想的方波。

輸出反饋電 阻R1為非門提供偏置,使電路工作于放大區(qū)域,即非門的功能近似于一個(gè)高增益的反相放大器。

電容C1、C2與晶體構(gòu)成一個(gè)諧振型網(wǎng)絡(luò),完成對(duì)振蕩頻率的控制功能,同時(shí)提供了一個(gè)180度相移,從而和非門構(gòu)成一個(gè)正反饋網(wǎng)絡(luò),實(shí)現(xiàn)了振蕩器的功能。

由于晶體具有較高的頻率穩(wěn)定性及準(zhǔn)確性,從而保證了輸出頻率的穩(wěn)定和準(zhǔn)確。

晶體XTAL的頻率選為32768HZ。

該元件專為數(shù)字鐘電路而設(shè)計(jì),其頻率較低,有利于減少分頻器級(jí)數(shù)。

從有關(guān)手冊(cè)中,可查得C1、C2均為30pF。

當(dāng)要求頻率準(zhǔn)確度和穩(wěn)定度更高時(shí),還可接入校正電容并采取溫度補(bǔ)償措施。

由于CMOS電路的輸入阻抗極高,因此反饋電阻R1可選為10MΩ。

較高的反饋電阻有利于提高振蕩頻率的穩(wěn)定性。

非門電路可選74HC00。

圖3-2 COMS晶體振蕩器 2)分頻器電路 通常,數(shù)字鐘的晶體振蕩器輸出頻率較高,為了得到1Hz的秒信號(hào)輸入,需要對(duì)振蕩器的輸出信號(hào)進(jìn)行分頻。

通常實(shí)現(xiàn)分頻器的電路是計(jì)數(shù)器電路,一般采用多級(jí)2進(jìn)制計(jì)數(shù)器來(lái)實(shí)現(xiàn)。

例如,將32768Hz的振蕩信號(hào)分頻為1HZ的分頻倍數(shù)為32768(215),即實(shí)現(xiàn)該分頻功能的計(jì)數(shù)器相當(dāng)于15極2進(jìn)制計(jì)數(shù)器。

常用的2進(jìn)制計(jì)數(shù)器有74HC393等。

本實(shí)驗(yàn)中采用CD4060來(lái)構(gòu)成分頻電路。

CD4060在數(shù)字集成電路中可實(shí)現(xiàn)的分頻次數(shù)最高,而且CD4060還包含振蕩電路所需的非門,使用更為方便。

CD4060計(jì)數(shù)為14級(jí)2進(jìn)制計(jì)數(shù)器,可以將32768HZ的信號(hào)分頻為2HZ,其內(nèi)部框圖如圖3-3所示,從圖中可以看出,CD4060的時(shí)鐘輸入端兩個(gè)串接的非門,因此可以直接實(shí)現(xiàn)振蕩和分頻的功能。

圖3-3 CD4046內(nèi)部框圖 3)時(shí)間計(jì)數(shù)單元 時(shí)間計(jì)數(shù)單元有時(shí)計(jì)數(shù)、分計(jì)數(shù)和秒計(jì)數(shù)等幾個(gè)部分。

時(shí)計(jì)數(shù)單元一般為12進(jìn)制計(jì)數(shù)器計(jì)數(shù)器,其輸出為兩位8421BCD碼形式;分計(jì)數(shù)和秒計(jì)數(shù)單元為60進(jìn)制計(jì)數(shù)器,其輸出也為8421BCD碼。

一般采用10進(jìn)制計(jì)數(shù)器74HC390來(lái)實(shí)現(xiàn)時(shí)間計(jì)數(shù)單元的計(jì)數(shù)功能。

為減少器件使用數(shù)量,可選74HC390,其內(nèi)部邏輯框圖如圖 2。

3所示。

該器件為雙2—5-10異步計(jì)數(shù)器,并且每一計(jì)數(shù)器均提供一個(gè)異步清零端(高電平有效)。

圖3-4 74HC390(1/2)內(nèi)部邏輯框圖 秒個(gè)位計(jì)數(shù)單元為10進(jìn)制計(jì)數(shù)器,無(wú)需進(jìn)制轉(zhuǎn)換,只需將QA與CPB(下降沿有效)相連即可。

CPA(下降沒效)與1HZ秒輸入信號(hào)相連,Q3可作為向上的進(jìn)位信號(hào)與十位計(jì)數(shù)單元的CPA相連。

秒十位計(jì)數(shù)單元為6進(jìn)制計(jì)數(shù)器,需要進(jìn)制轉(zhuǎn)換。

將10進(jìn)制計(jì)數(shù)器轉(zhuǎn)換為6進(jìn)制計(jì)數(shù)器的電路連接方法如圖3-5所示,其中Q2可作為向上的進(jìn)位信號(hào)與分個(gè)位。

數(shù)字電子時(shí)鐘實(shí)驗(yàn)心得4

隨著單片機(jī)技術(shù)的飛速發(fā)展,在其推動(dòng)下,現(xiàn)代的電子產(chǎn)品幾乎滲透到了社會(huì)的各個(gè)領(lǐng)域,有力地推動(dòng)了社會(huì)生產(chǎn)力的發(fā)展和社會(huì)信息化程度的提高,同時(shí)也使現(xiàn)代電子產(chǎn)品性能進(jìn)一步提高。

時(shí)間就是金錢、時(shí)間就是生命、時(shí)間就是勝利??,準(zhǔn)確的掌握時(shí)間和分配時(shí)間對(duì)人們來(lái)說(shuō)至關(guān)重要,時(shí)鐘是我們生活中必不可少的工具。電子鐘的設(shè)計(jì)方法有很多種,但是基于單片機(jī)并通過(guò)LCD顯示的電子時(shí)鐘具有編程靈活、精確度高、便于攜帶、顯示直觀等特點(diǎn)。

利用STC單片機(jī)對(duì)DS1302時(shí)鐘芯片進(jìn)行讀寫操作并通過(guò)12864中文液晶顯示實(shí)時(shí)時(shí)鐘信息,這樣便構(gòu)成了一個(gè)單片機(jī)電子時(shí)鐘。

關(guān)鍵詞:?jiǎn)纹瑱C(jī),電子時(shí)鐘,LCD12864,DS1302,鬧鐘。

第一章 引言

1957年,Ventura發(fā)明了世界上第一個(gè)電子表,從而奠定了電子時(shí)鐘的基礎(chǔ),電子時(shí)鐘開始迅速發(fā)展起來(lái)?,F(xiàn)代的電子時(shí)鐘是基于單片機(jī)的一種計(jì)時(shí)工具,采用延時(shí)程序產(chǎn)生一定的時(shí)間中斷,用于一秒的定義,通過(guò)計(jì)數(shù)方式進(jìn)行滿六十秒分鐘進(jìn)一,滿六十分小時(shí)進(jìn)一,滿二十四小時(shí)小時(shí)清零。從而達(dá)到計(jì)時(shí)的功能,是人民日常生活補(bǔ)課缺少的工具。

石英表都采用了石英技術(shù),因此走時(shí)精度高,穩(wěn)定性好,使用方便,不需要經(jīng)常調(diào)試,數(shù)字式電子鐘用集成電路計(jì)時(shí)時(shí),譯碼代替機(jī)械式傳動(dòng),用LED顯示器代替指針顯示進(jìn)而顯示時(shí)間,減小了計(jì)時(shí)誤差,這種表具有時(shí)、分、秒顯示時(shí)間的功能,還可以進(jìn)行時(shí)和分的校對(duì),片選的靈活性好。

該電子時(shí)鐘由STC89C52,按鍵,LCD12864中文液晶顯示器,DS1302等構(gòu)成,采用晶振電路作為驅(qū)動(dòng)電路,由延時(shí)程序和循環(huán)程序產(chǎn)生的一秒定時(shí),達(dá)到時(shí)分秒的計(jì)時(shí),六十秒為一分鐘,六十分鐘為一小時(shí),滿二十四小時(shí)為一天。

第二章電子時(shí)鐘設(shè)計(jì)要求及方案論證

1、顯示模塊選擇方案和論證

方案一:

采用點(diǎn)陣式數(shù)碼管顯示。點(diǎn)陣式數(shù)碼管是由八行八列的發(fā)光二極管組成,可用來(lái)顯示數(shù)。但體積較大,且價(jià)格也相對(duì)較高,從便攜實(shí)用的角度出發(fā),不采用此種方案。

方案二:

采用LED數(shù)碼管動(dòng)態(tài)掃描。LED數(shù)碼管價(jià)格便宜,對(duì)于顯示數(shù)字最合適,但功耗較大,且顯示容量不夠,所以也不用此種方案。

方案三:

采用LCD液晶顯示屏。液晶顯示屏的顯示功能強(qiáng)大,可顯示大量文字,顯示多樣,清晰可見,且價(jià)格適中,所以采用了LCD數(shù)碼管作為顯示。

2、時(shí)鐘芯片的選擇方案和論證

方案一:

直接采用單片機(jī)定時(shí)計(jì)數(shù)器提供秒信號(hào),使用程序?qū)崿F(xiàn)年、月、日、星期、時(shí)、分、秒計(jì)數(shù)。采用此種方案雖然減少芯片的使用,節(jié)約成本,但是,實(shí)現(xiàn)的時(shí)間

誤差較大。所以不采用此方案。

方案二:

采用DS1302時(shí)鐘芯片實(shí)現(xiàn)時(shí)鐘,DS1302芯片是一種高性能的時(shí)鐘芯片,可自動(dòng)對(duì)秒、分、時(shí)、日、周、月、年以及閏年補(bǔ)償?shù)哪赀M(jìn)行計(jì)數(shù),而且精度高,工作電壓2.5V~5.5V范圍內(nèi),2.5V時(shí)耗電小于300nA.

3、電路設(shè)計(jì)最終方案決定

綜上各方案所述,對(duì)此次作品的方案選定: 采用STC89C52單片機(jī)作為主控制系統(tǒng);采用DS1302作為時(shí)鐘芯片;采用12864 LCD液晶作為顯示器件。

第三章單片機(jī)簡(jiǎn)介

1、STC89C52主要功能及PDIP封裝

STC89C52是由深圳宏晶科技公司生產(chǎn)的與工業(yè)標(biāo)準(zhǔn)MCS-51指令集和輸出管腳相兼容的單片機(jī)。STC89C52主要功能如表2.1所示,其PDIP封裝如圖2.1所示

STC89C52主要功能 2、STC89C52引腳介紹

數(shù)字電子時(shí)鐘實(shí)驗(yàn)心得5

1 電路原理圖

數(shù)字電子鐘的電路原理圖如圖1.1所示。

2 工作原理

數(shù)字電子鐘由多諧振蕩器、計(jì)數(shù)器、顯示譯碼器、顯示器和校時(shí)電路組成。多諧振蕩器產(chǎn)生秒脈沖信號(hào),秒脈沖送入計(jì)數(shù)器計(jì)數(shù),計(jì)數(shù)結(jié)果通過(guò)“時(shí)”、“分”、“秒”顯示譯碼器譯碼,由顯示器顯示時(shí)間。

數(shù)字時(shí)鐘的組成框圖如圖2.1所示。

2.1 多諧振蕩器與分頻電路

多諧振蕩器與分頻電路如圖2.2所示。多諧振蕩器是一種能產(chǎn)生矩形波的自激振蕩器,也稱矩形波發(fā)生器?!岸嘀C”指矩形波中除了基波成分外,還含有豐富的高次諧波成分。

多諧振蕩器沒有穩(wěn)態(tài),只有兩個(gè)暫穩(wěn)態(tài)。在工作時(shí),電路的狀態(tài)在這兩個(gè)暫穩(wěn)態(tài)之間自動(dòng)地交替變換,由此產(chǎn)生矩形波脈沖信號(hào),常用作脈沖信號(hào)源及時(shí)序電路中的時(shí)鐘信號(hào)。數(shù)字時(shí)鐘里用的是555定時(shí)器構(gòu)成的1khz多諧振蕩器。可調(diào)電阻Rw可以改變輸出信號(hào)的頻率。

如圖2.2所示圖中電容C、電阻R1和R2作為振蕩器的定時(shí)元件,決定著輸出矩形波正、負(fù)脈沖的寬度。定時(shí)器的觸發(fā)輸入端(2腳)和閥值輸入端(6腳)與電容相連;集電極開路輸出端(7腳)接R1、R2相連處,用以控制電容C的充、放電;外界控制輸入端(5腳)通過(guò)0.01uF電容接地。

電路接通電源的瞬間,由于電容C來(lái)不及充電,Vc=0v,所以555定時(shí)器狀態(tài)為1,輸出Vo為高電平。同時(shí),集電極輸出端(7腳)對(duì)地?cái)嚅_,電源Vcc對(duì)電容C充電,電路進(jìn)入暫穩(wěn)態(tài)I,此后,電路周而復(fù)始地產(chǎn)生周期性的輸出脈沖。多諧振蕩器兩個(gè)暫穩(wěn)態(tài)的維持時(shí)間取決于RC充、放電回路的參數(shù)。

多諧振蕩器與分頻電路為計(jì)數(shù)器提供計(jì)數(shù)脈沖和為校時(shí)電路提供校時(shí)脈沖。多諧振蕩器的振蕩頻率設(shè)計(jì)為2Hz,R為51KΩ,RW大約為50 KΩ,C為4.7μF。

多諧振蕩器產(chǎn)生的2Hz脈沖信號(hào)為校時(shí)電路的校時(shí)脈沖。2Hz脈沖信號(hào)經(jīng)過(guò)CD4013組成的分頻器,進(jìn)行2分頻,輸出1 Hz的秒脈沖為計(jì)數(shù)器的計(jì)數(shù)脈沖。

555定時(shí)器的引腳圖如圖2.3所示。555定時(shí)器是一種模擬電路和數(shù)字電路相它由分壓器,比較器,基本R--S觸發(fā)器和放電三極管等部分組成.分壓器由三個(gè)5的等值電阻串聯(lián)而成.分壓器為比較器,提供參考電壓,比較器的參考電壓為,加在同相輸入端,比較器的參考電壓為,加在反相輸入端.比較器由兩個(gè)結(jié)構(gòu)相同的集成運(yùn)放,組成.高電平觸發(fā)信號(hào)加在的反相輸入端,與同相輸入端的參考電壓比較后,其結(jié)果作為基本R--S觸發(fā)器端的輸入信號(hào);低電平觸發(fā)信號(hào)加在的同相輸入端,與反相輸入端的參考電壓比較后,其結(jié)果作為基本R—S觸發(fā)器端的輸入信號(hào).基本R--S觸發(fā)器的輸出狀態(tài)受比較器的輸出端控制。

圖2.3 555定時(shí)器引腳圖

D觸發(fā)器CD4013的引腳圖如圖2.4所示。CD4013是一雙D觸發(fā)器,由兩個(gè)相同的、相互獨(dú)立的數(shù)據(jù)型觸發(fā)器構(gòu)成。

每個(gè)觸發(fā)器有獨(dú)立的數(shù)據(jù)、置位、復(fù)位、時(shí)鐘輸入和Q及Q輸出,此器件可用作移位寄存器,且通過(guò)將Q輸出連接到數(shù)據(jù)輸入,可用作計(jì)算器和觸發(fā)器。在時(shí)鐘上升沿觸發(fā)時(shí),加在D輸入端的邏輯電平傳送到Q輸出端。

置位和復(fù)位與時(shí)鐘無(wú)關(guān),而分別由置位或復(fù)位線上的高電平完成。CD4013引腳,一個(gè)D有6個(gè)端子:2個(gè)輸出,4個(gè)控制。4個(gè)控制分別是R、S、CP、D。R和S不能同時(shí)為高電平。

當(dāng)R為1、S為0時(shí),輸出Q一定為0,因此R可稱為復(fù)位端。當(dāng)S為1、R為0時(shí),輸出Q一定為1。當(dāng)R、S均為0時(shí),Q在CP端有脈沖上升沿到來(lái)時(shí)動(dòng)作,具體是Q=D,即若D為1則Q也為1,若D為0則Q也為0。

2.2計(jì)數(shù)、譯碼顯示電路

計(jì)數(shù)、譯碼器顯示電路如圖2.5所示。計(jì)數(shù)器由秒計(jì)數(shù)器、分計(jì)數(shù)器、和時(shí)計(jì)數(shù)器串聯(lián)組成。秒計(jì)數(shù)器和分計(jì)數(shù)器為60進(jìn)制計(jì)數(shù)器,由一個(gè)十進(jìn)制計(jì)數(shù)器和一個(gè)六進(jìn)制計(jì)數(shù)器串聯(lián)組成。時(shí)計(jì)數(shù)器為24進(jìn)制計(jì)數(shù)器,由兩個(gè)十進(jìn)制計(jì)數(shù)器串聯(lián)并利用反饋接成24進(jìn)制計(jì)數(shù)器。秒計(jì)數(shù)器、分計(jì)數(shù)器、和時(shí)計(jì)數(shù)器的使用計(jì)數(shù)器CD4026,CD4026具有顯示譯碼功能,輸送給各自的數(shù)碼管,顯示出時(shí)、分、秒的計(jì)時(shí)。這種計(jì)數(shù)器的設(shè)計(jì)可采用異步反饋置零法,先按二進(jìn)制計(jì)數(shù)級(jí)聯(lián)起來(lái)構(gòu)成計(jì)數(shù)器,當(dāng)計(jì)數(shù)狀態(tài)達(dá)到所需模值后,經(jīng)門電路譯碼、反饋,產(chǎn)生“復(fù)位”脈沖將計(jì)數(shù)器清零,然后重新開始進(jìn)行下一循環(huán)。計(jì)數(shù)、譯碼顯示電路用到的數(shù)碼管的引腳圖如圖2.6所示。計(jì)數(shù)、顯示譯碼器CD4026的引腳圖如圖2.7所示。非門CD4069的引腳圖如圖2.8所示。三輸入與門CD4073的引腳圖如圖2.9所示。

2.2.1 LED數(shù)碼管

LED數(shù)碼管實(shí)物圖如圖2.10所示,數(shù)碼管內(nèi)部就是LED燈的組合。LED數(shù)碼管里面有八個(gè)發(fā)光二極管。引腳分別記作a、b、c、d、e、f、g、bd,其中bd是小數(shù)點(diǎn),abcdefgh 分別控制8個(gè)段,稱段碼。數(shù)碼管的3、8腳是公共端,公共端可以用三極管控制是否連接電源,由此可以控制整個(gè)數(shù)碼管點(diǎn)亮或熄滅。如果多個(gè)數(shù)碼管一起使用,如8個(gè),這個(gè)端口就用來(lái)選擇需要使用的數(shù)碼管的位,即第幾位數(shù)碼管起作用。常用的LED數(shù)碼管有兩種,一種是共陽(yáng)極一種是共陰極的。將多只LED的陰極連在一起即為共陰式,而將多只LED的陽(yáng)極連在一起即為共陽(yáng)式。以共陰式為例,若把陰極接地,在相應(yīng)段的陽(yáng)極接上正電源,該段即會(huì)發(fā)光。共陰極數(shù)碼管原理說(shuō)明:共陰極數(shù)碼管中各段發(fā)光二極管的伏安特性和普通二極管類似,只是正向降較大,正向電阻也較大。在一定范圍內(nèi),其正向電流與發(fā)光亮度成正比。由于常規(guī)的數(shù)碼管用電電流只有1~2 mA,最大極限電流也只有10~30 mA,所以它的輸入端在5 V電源或高于TTL高電平(3.5 V)的電路信號(hào)相接時(shí),一定要串加限流電阻,以免損壞器件。

2.3 校時(shí)電路

當(dāng)時(shí)鐘走時(shí)不準(zhǔn)時(shí),需要進(jìn)行校時(shí),應(yīng)截?cái)喾謧€(gè)位和時(shí)個(gè)位的直接計(jì)數(shù)通路,并采用正常計(jì)時(shí)信號(hào)與校正信號(hào)可以隨時(shí)切換的電路接入其中。校時(shí)電路如圖2.11所示。由與非門和二個(gè)開關(guān)組成,實(shí)現(xiàn)對(duì)“時(shí)”、“分”的校準(zhǔn)。當(dāng)校時(shí)開關(guān)K1、K2扳到A端時(shí),校時(shí)的2Hz脈沖輸送到時(shí)計(jì)數(shù)器和分計(jì)數(shù)器個(gè)位的CP端,進(jìn)行時(shí)計(jì)數(shù)器和分計(jì)數(shù)器 “時(shí)”、“分”的校準(zhǔn)。當(dāng)校時(shí)開關(guān)K1、K2扳到B端時(shí),時(shí)計(jì)數(shù)器和分計(jì)數(shù)器的進(jìn)位脈沖輸送到時(shí)計(jì)數(shù)器和分計(jì)數(shù)器個(gè)位的CP端,時(shí)鐘正常計(jì)時(shí)。與非門CD4011的引腳圖如圖2.12所示。

2.4 數(shù)字鐘整體電路工作原理

數(shù)字鐘首先由多諧振蕩器產(chǎn)生秒脈沖信號(hào),通過(guò)CD4013的Q輸入到CD4026的CP中,到計(jì)數(shù)器中進(jìn)行計(jì)數(shù)。秒、分、時(shí)的計(jì)數(shù)器使用的是CD4026計(jì)數(shù)器。秒計(jì)數(shù)器和分計(jì)數(shù)器為60進(jìn)制計(jì)數(shù)器,由一個(gè)十進(jìn)制計(jì)數(shù)器和一個(gè)六進(jìn)制計(jì)數(shù)器串聯(lián)組成。時(shí)計(jì)數(shù)器為24進(jìn)制計(jì)數(shù)器,由兩個(gè)十進(jìn)制計(jì)數(shù)器串聯(lián)并利用反饋接成24進(jìn)制計(jì)數(shù)器。同時(shí)CD4026有譯碼功能,輸送給各個(gè)數(shù)碼管顯示時(shí)間。當(dāng)計(jì)數(shù)狀態(tài)達(dá)到所需模值后,經(jīng)門電路譯碼、反饋,產(chǎn)生“復(fù)位”脈沖將計(jì)數(shù)器清零,然后重新開始進(jìn)行下一循環(huán)。當(dāng)時(shí)鐘走時(shí)不準(zhǔn)就需要校時(shí)。校時(shí)電路用與非門和兩個(gè)開關(guān)組成實(shí)現(xiàn)對(duì)“時(shí)”、“分”的校準(zhǔn)。當(dāng)校時(shí)開關(guān)K1、K2扳到A端時(shí),校時(shí)的2Hz脈沖輸送到時(shí)計(jì)數(shù)器和分計(jì)數(shù)器個(gè)位的CP端,進(jìn)行時(shí)計(jì)數(shù)器和分計(jì)數(shù)器 “時(shí)”、“分”的校準(zhǔn)。當(dāng)校時(shí)開關(guān)K1、K2扳到B端時(shí),時(shí)計(jì)數(shù)器和分計(jì)數(shù)器的進(jìn)位脈沖輸送到時(shí)計(jì)數(shù)器和分計(jì)數(shù)器個(gè)位CP端,時(shí)鐘正常計(jì)時(shí)。

數(shù)字電子時(shí)鐘實(shí)驗(yàn)心得相關(guān)文章:

數(shù)字電子實(shí)驗(yàn)心得5篇

電子工藝實(shí)習(xí)心得體會(huì)范文五篇

電子系統(tǒng)創(chuàng)新實(shí)踐心得4篇

41592